TOP
0
0
【23號簡體館日】限時三天領券享優惠!!
CPLD/FPGA設計與應用高級教程(附光碟)(簡體書)
滿額折

CPLD/FPGA設計與應用高級教程(附光碟)(簡體書)

人民幣定價:39 元
定  價:NT$ 234 元
優惠價:87204
絕版無法訂購
相關商品
商品簡介
目次

商品簡介

《CPLD/FPGA設計與應用高級教程》結合目前主流的cpld/fpga產品以及最流行的設計理念,系統、詳細地介紹cpld/fpga的硬件結構、硬件描述語言與驗證語言的基礎應用以及高級應用;詳細介紹如何使用verilog hdl語言進行有限狀態機設計和testbench設計,以及如何使用modelsim進行功能仿真和時序仿真;簡要介紹驗證方法學的基本概念以及驗證語言的比較,并就cpld/fpga的系統應用進行了詳細探討,包括dsp設計、嵌入式處理器設計、hardcopy設計、嵌入式邏輯分析儀的使用以及cpld/fpga的板級設計。
《CPLD/FPGA設計與應用高級教程》既可作為電子信息、通信工程以及相關工科專業的本科高年級學生和研究生教材,也可作為全國大學生電子設計競賽的培訓教材,以及從事電子電路系統設計與cpld/fpga/asic設計的工程技術人員的參考用書。

目次

第1章 概述
1.1 數字電路基礎及發展演變
1.2 cpld/fpga的介紹
1.3 設計語言及其方法的介紹
1.4 硬件語言與軟件語言的區別
1.5 設計與驗證流程
1.6 cpld/fpga的前景與展望
1.7 本章小結
1.8 思考與練習

第2章 cpld/fpga硬件結構
2.1 pld的分類
2.2 乘積項結構的基本原理
2.3 查找表結構的基本原理
2.4 傳統cpld的基本結構
2.5 傳統fpga的基本結構
2.6 最新cpld的基本結構
2.7 最新fpga的基本結構
2.8 cpld與fpga的選擇
2.9 cpld/fpga的配置
2.10 本章小結
2.11 思考與練習

第3章 verilog hdl語法基礎
3.1 verilog hdl的特點
3.2 verilog hdl的描述方式
3.3 模塊和端口
3.4 注 釋
3.5 常量、變量與邏輯值
3.6 操作符
3.7 操作數
3.8 參數指令
3.9 編譯指令
3.10 系統任務和系統函數
3.11 實例1:串并轉換程序設計
3.12 本章小結
3.13 思考與練習

第4章 verilog的描述與參數化設計
4.1 數據流描述
4.2 行為級描述
4.3 結構化描述
4.4 高級編程語句
4.5 參數化設計
4.6 混合描述
4.7 實例2:i2c slave控制器的設計
4.8 本童小結
4.9 思考與練習

第5章 有限狀態機設計
5.1 有限狀態機的基本概念
5.2 狀態機描述的基本語法
5.3 狀態編碼
5.4 狀態初始化
5.5 full case與parallel case
5.6 狀態機的描述
5.7 實例3:pci slave接口設計
5.8 本章小結
5.9 思考與練習

第6章 約束與延時分析
6.1 約束的目的
6.2 引腳約束及電氣標準設定
6.3 時序約束的基本概念
6.4 時序約束的本質
6.5 靜態延時分析
6.6 統計靜態延時分析
6.7 動態延時分析
6.8 實例4:建立時間和保持時間違例分析
6.9 時序違例及解決方式
6.10 實例5:四角測試中的時序分析
6.11 實例6:lpc slave接口設計
6.12 本章小結
6.13 思考與練習

第7章 rtl設計原則及技巧
7.1 rtl設計的主要原則
7.2 rtl設計的主要技巧
7.3 組合邏輯設計
7.4 時序邏輯設計
7.5 代碼風格
7.6 實例8:信號消抖時的亞穩態及解決方案
7.7 本章小結
7.8 思考與練習

第8章 仿真與testbench設計
8.1 仿真概述
8.2 仿真器的選擇
8.3 modelsim簡介與仿真
8.4 testbench設計
8.5 testbench結構化
8.6 實例9:基於modelsim的i2c slavetestbench設計
8.7 實例10:基於modelsim的lpc slave接口仿真設計
8.8 實例11:基於modelsim的信號消抖程序仿真設計
8.9 本章小結
8.10 思考與練習

第9章 cpld/fpga的驗證方法學
9.1 驗證與仿真
9.2 驗證與測試
9.3 驗證的期望
9.4 驗證的語言
9.5 斷 言
9.6 驗證的分類
9.7 代碼覆蓋
9.8 驗證工具
9.9 驗證計劃
9.10 dft
9.11 版本控制
9.12 實例12:基於fsm的sva斷言驗證設計
9.13 本章小結
9.14 思考與練習

第10章 cpld/fpga的高級應用
10.1 基於dsp的fpga設計
10.2 基於嵌入式處理器的fpga設計
10.3 典型的sopc運用:nios ii簡介及應用
10.4 基於hardcopy技術的fpga設計
10.5 嵌入式邏輯分析儀
10.6 本章小結
10.7 思考與練習

第11章 cpld/fpga系統設計
11.1 常用電平標準及其接口設計
11.2 信號完整性概述
11.3 高速設計與serdes
11.4 電源完整性概述
11.5 功耗與熱設計
11.6 pcb設計與cpld/fpga系統設計
11.7 實例16:基於μc/os-ii的fpga系統設計
11.8 本章小結
11.9 思考與練習
參考文獻

您曾經瀏覽過的商品

購物須知

大陸出版品因裝訂品質及貨運條件與台灣出版品落差甚大,除封面破損、內頁脫落等較嚴重的狀態,其餘商品將正常出貨。

特別提醒:部分書籍附贈之內容(如音頻mp3或影片dvd等)已無實體光碟提供,需以QR CODE 連結至當地網站註冊“並通過驗證程序”,方可下載使用。

無現貨庫存之簡體書,將向海外調貨:
海外有庫存之書籍,等候約45個工作天;
海外無庫存之書籍,平均作業時間約60個工作天,然不保證確定可調到貨,尚請見諒。

為了保護您的權益,「三民網路書店」提供會員七日商品鑑賞期(收到商品為起始日)。

若要辦理退貨,請在商品鑑賞期內寄回,且商品必須是全新狀態與完整包裝(商品、附件、發票、隨貨贈品等)否則恕不接受退貨。

優惠價:87 204
絕版無法訂購

暢銷榜

客服中心

收藏

會員專區