TOP
0
0
魅麗。花火原創小說66折起

縮小範圍


商品類型


簡體書 (26)

商品狀況


可訂購商品 (24)
無法訂購商品 (2)

庫存狀況


無庫存 (26)

商品定價


$199以下 (4)
$200~$399 (16)
$400~$599 (5)
$600~$799 (1)

出版日期


2022~2023 (2)
2020~2021 (6)
2018~2019 (6)
2016~2017 (1)
2016年以前 (10)

裝訂方式


平裝 (20)

作者


王金明 (19)
劉小進、馬春紅、王金明 (1)
王金明. 周順. 編著 (1)
王金明、冷自強 (1)
王金明、宇金玲、石高升 (1)
王金明、徐程驥編 (1)
高鐵梅、王金明、劉玉紅、康書隆 (1)
高鐵梅、陳磊、王金明、張同斌 (1)

出版社/品牌


電子工業出版社 (14)
北京工藝美術出版社 (4)
清華大學出版社(大陸) (3)
中國農業科學技術出版社 (2)
科學出版社 (2)
中國礦業大學 (1)

三民網路書店 / 搜尋結果

26筆商品,1/2頁
中國美術家大系(第5輯)喻繼高卷(簡體書)
滿額折

1.中國美術家大系(第5輯)喻繼高卷(簡體書)

作者:王金明  出版社:北京工藝美術出版社  出版日:2012/08/01 裝訂:平裝
《中國美術家大系(第5輯):李春海卷》是從當代著名畫家中遴選了十位畫家的經典作品結集出版,每人一卷,主要“記錄經典、立體當代”的高度,展示每一個畫家的創造成果,有代表作品,有專家評介,對專業美術工作者和業餘美術工作者將起到典範的作用。.
定價:180 元, 優惠價:87 157
無庫存,下單後進貨(採購期約45個工作天)
數字系統設計與Verilog HDL(第4版)(簡體書)

2.數字系統設計與Verilog HDL(第4版)(簡體書)

作者:王金明  出版社:電子工業出版社  出版日:2010/12/01 裝訂:平裝
本書根據EDA課程教學要求,以提高數字設計能力為目的,系統闡述數字系統開發的相關知識,主要內容包括EDA技術、FPGA/CPLD器件、Verilog硬件描述語言等。全書以Quartus Ⅱ、Synplify Pro軟件為平臺,以Verilog—1995和Verilog—2001語言標準為依據,以可綜合的設計為重點,通過大量經過驗證的數字設計實例,系統闡述數字系統設計的方法與技術,由淺入深地介紹Ve
絕版無法訂購
數字系統設計與Verlog HDL(第3版)(簡體書)
滿額折

3.數字系統設計與Verlog HDL(第3版)(簡體書)

作者:王金明  出版社:電子工業出版社  出版日:2009/01/01 裝訂:平裝
本書系統介紹了數字系統設計相關的知識,主要內容包括:EDA技術、FPGA/CPLD器件、Vefilog硬件描述語言等。本書以Quartus II、Synplify Pro/Synplify軟件為平臺,以Verilog-1995和Verilog-2001為語言標準,以可綜合的設計為重點,以大量經過驗證的數字設計實例為依據,系統闡述了數字系統設計的方法與技術,對設計優化做了探討。 本書的特點是:著眼
定價:239 元, 優惠價:87 208
無庫存,下單後進貨(採購期約45個工作天)
電工與電子技術(簡體書)
滿額折

4.電工與電子技術(簡體書)

作者:王金明  出版社:清華大學出版社(大陸)  出版日:2013/01/01 裝訂:平裝
《高等教育“十二五”規劃教材:電工與電子技術》共分10章,內容包括電路分析的基礎知識、正弦交流電路、三相正弦交流電路、變壓器、三相異步電動機及其控制、道橋工程供電設計、安全用電、整流電路、晶體管放大電路、集成運算放大電路。 《高等教育“十二五”規劃教材:電工與電子技術》適合於普通高等學校工科非電類專業的本科教學,也可作為專科教學用教材或參考書。對相關的工程技術人員亦有參考價值。
定價:168 元, 優惠價:87 146
無庫存,下單後進貨(採購期約45個工作天)
煤礦從業人員安全生產培訓教材(簡體書)
滿額折

5.煤礦從業人員安全生產培訓教材(簡體書)

作者:王金明  出版社:中國礦業大學  出版日:2012/09/01 裝訂:平裝
定價:132 元, 優惠價:87 115
無庫存,下單後進貨(採購期約45個工作天)
翰墨潤庭:中國畫名家十人集2018第1輯(簡體書)
滿額折

6.翰墨潤庭:中國畫名家十人集2018第1輯(簡體書)

作者:王金明  出版社:北京工藝美術出版社  出版日:2018/06/01 裝訂:平裝
該書是由“翰墨潤庭”藝術館2018年舉辦的中國畫名家十人展作品集。其中人物畫家兩人、花鳥畫家兩人、山水畫家六人,都是當代中國畫壇的有影響力的人物,都是在傳統的基礎上創新的中國畫家。書中每位畫家入選了作品七幅,有作者照片和簡歷,並附有主編的簡介文章,較有分量地展示了每位畫家的藝術風貌和藝術追求,是一本高水平、可資借鑒的優秀圖書。
定價:408 元, 優惠價:87 355
無庫存,下單後進貨(採購期約45個工作天)
翰墨潤庭:中國畫名家三人行2018‧第三輯(簡體書)
滿額折

7.翰墨潤庭:中國畫名家三人行2018‧第三輯(簡體書)

作者:王金明  出版社:北京工藝美術出版社  出版日:2018/11/01 裝訂:平裝
該書是三位當代著名畫家在翰墨潤庭藝術館舉辦的作品展的作品集。這三位畫家分別是人物畫家王淼田、花鳥畫家鄭瑰璽、山水畫家王金明。他們都是在北京闖蕩20年而取得成功的畫家,也都是在中國畫領域有所創新的畫家。雖然他們主攻的畫種不同,風格迥異,但他們無不重視對於傳統的繼承,無不重視深入生活的寫生,因此他們的作品既有傳統筆墨功力,又具有時代新機,書中每位畫家入選了作品20幅,有作者照片和簡歷,並附有主編的簡介
定價:408 元, 優惠價:87 355
無庫存,下單後進貨(採購期約45個工作天)
EDA技術與Verilog設計(第2版)(簡體書)
滿額折

8.EDA技術與Verilog設計(第2版)(簡體書)

作者:王金明  出版社:電子工業出版社  出版日:2019/01/01 裝訂:平裝
本書與“十二五”普通高等教育本科國家級規劃教材、普通高等教育“十一五”國家級規劃教材《EDA技術與VHDL設計(第2版)》(25178)為姊妹篇。本書根據教學和實驗基本要求,以提高動手實踐能力和工程設計能力為目的,對EDA技術和FPGA設計的相關知識進行系統、完整的介紹。全書共10章,主要內容包括:EDA技術概述,FPGA/CPLD器件概述,Quartus Prime集成開發工具,Verilog語
定價:330 元, 優惠價:87 287
無庫存,下單後進貨(採購期約45個工作天)
數字系統設計與VHDL(第2版)(簡體書)
滿額折

9.數字系統設計與VHDL(第2版)(簡體書)

作者:王金明  出版社:電子工業出版社  出版日:2018/01/01 裝訂:平裝
本書根據EDA課程教學要求,以提高數字設計能力為目的,系統闡述FPGA數字系統開發的相關知識,主要內容包括EDA技術概述、FPGA/CPLD器件、VHDL硬件描述語言等。全書以Quartus Prime、ModelSim等軟件為平臺,以VHDL'87和VHDL'93語言標準為依據,基於DE2-115實驗平臺,以可綜合的設計為重點,通過大量經過驗證的數字設計實例,闡述數字系統設計的方法與技術,由淺入
定價:300 元, 優惠價:87 261
無庫存,下單後進貨(採購期約45個工作天)
翰墨潤庭:中國畫名家十人集(簡體書)
滿額折

10.翰墨潤庭:中國畫名家十人集(簡體書)

作者:王金明  出版社:北京工藝美術出版社  出版日:2018/08/01 裝訂:平裝
該書是由“翰墨潤庭”藝術館2018年舉辦的中國畫名家十人展作品集。其中人物畫家兩人、花鳥畫家兩人、山水畫家六人,都是當代中國畫壇的有影響力的人物,都是在傳統的基礎上創新的中國畫家。書中每位畫家入選了作品七幅,有作者照片和簡歷,並附有主編的簡介文章,較有分量地展示了每位畫家的藝術風貌和藝術追求,是一本高水平、可資借鑒的優秀圖書。
定價:408 元, 優惠價:87 355
無庫存,下單後進貨(採購期約45個工作天)
數字系統設計與Verilog HDL(第6版)(簡體書)
滿額折

11.數字系統設計與Verilog HDL(第6版)(簡體書)

作者:王金明  出版社:電子工業出版社  出版日:2016/10/01 裝訂:平裝
定價:299 元, 優惠價:87 260
無庫存,下單後進貨(採購期約45個工作天)
數字系統設計與Verilog HDL(Vivado版)(簡體書)
滿額折

12.數字系統設計與Verilog HDL(Vivado版)(簡體書)

作者:王金明  出版社:電子工業出版社  出版日:2020/03/01 裝訂:平裝
本書根據EDA課程教學要求,以提高數字系統設計能力為目標,系統闡述FPGA數字開發的相關知識,主要內容包括EDA技術概述、FPGA/CPLD器件結構、Verilog硬件描述語言及設計案例等。全書以Vivado、ModelSim軟件為工具,以Verilog-1995和Verilog-2001語言標準為依據,以可綜合的設計為重點,通過諸多精選設計案例,系統闡述數字系統設計方法與思想,由淺入深地介紹Ve
定價:354 元, 優惠價:87 308
無庫存,下單後進貨(採購期約45個工作天)
數字系統設計與Verilog HDL(第7版)(簡體書)
滿額折

13.數字系統設計與Verilog HDL(第7版)(簡體書)

作者:王金明  出版社:電子工業出版社  出版日:2019/11/01 裝訂:平裝
本書根據EDA課程教學要求,以提高數字系統設計能力為目的,系統闡述FPGA數字系統開發的相關知識,主要內容包括EDA技術概述、FPGA/CPLD器件、Verilog硬件描述語言等。全書以Quartus Prime、ModelSim軟件為平臺,以Verilog-1995和Verilog-2001語言標準為依據,以可綜合的設計為重點,通過大量經過驗證的數字設計實例,闡述數字系統設計的方法與技術,由淺入
定價:348 元, 優惠價:87 303
無庫存,下單後進貨(採購期約45個工作天)
FPGA設計與Verilog HDL實現(簡體書)
滿額折

14.FPGA設計與Verilog HDL實現(簡體書)

作者:王金明  出版社:電子工業出版社  出版日:2021/01/01 裝訂:平裝
本書根據EDA課程教學要求,以提高數字系統設計能力為目標,系統闡述FPGA數字開發的相關知識,主要內容包括EDA技術概述、FPGA/CPLD器件結構、Quartus Prime使用指南、Verilog設計初步、Verilog語言要素、Verilog語句語法、Verilog設計的層次與風格、Verilog有限狀態機設計、Verilog驅動常用I/O外設、Verilog設計進階、Verilog Tes
定價:390 元, 優惠價:87 339
無庫存,下單後進貨(採購期約45個工作天)
數位系統設計與Verilog HDL(第5版)(簡體書)
滿額折

15.數位系統設計與Verilog HDL(第5版)(簡體書)

作者:王金明  出版社:電子工業出版社  出版日:2014/07/01 裝訂:平裝
本書根據EDA 課程教學要求,以提高數位設計能力為目的,系統闡述FPGA 數位系統開發的相關知識,主要內容包括EDA 技術概述、FPGA/CPLD 器件、Verilog 硬體描述語言等。
定價:294 元, 優惠價:87 256
無庫存,下單後進貨(採購期約45個工作天)
FPGA設計與VHDL實現(簡體書)
滿額折

16.FPGA設計與VHDL實現(簡體書)

作者:王金明  出版社:電子工業出版社  出版日:2021/01/01 裝訂:平裝
本書根據EDA課程教學要求,以提高數位設計能力為目的,系統闡述FPGA數位系統開發的相關知識,主要內容包括EDA技術概述、FPGA/CPLD器件、VHDL硬體描述語言等。全書以Quartus Prime、ModelSim等軟體為平臺,以VHDL’87和VHDL’93語言標準為依據,基於DE2-115實驗平臺,以可綜合的設計為重點,通過大量經過驗證的數位設計實例,闡述數位系統設計的方法與技術,由淺入
定價:359 元, 優惠價:87 312
無庫存,下單後進貨(採購期約45個工作天)
數字系統設計與Verilog HDL(第8版)(簡體書)
滿額折

17.數字系統設計與Verilog HDL(第8版)(簡體書)

作者:王金明  出版社:電子工業出版社  出版日:2021/01/01 裝訂:平裝
本書根據EDA課程教學要求,以提高數字系統設計能力為目的,系統闡述FPGA數字系統開發的相關知識,主要內容包括EDA技術概述、FPGA/CPLD器件、Verilog硬件描述語言等。全書以Quartus Prime、ModelSim軟件為工具,以Verilog-1995和Verilog-2001語言標準為依據,以可綜合的設計為重點,通過諸多精選設計案例,闡述數字系統設計的方法與技術,由淺入深地介紹V
定價:348 元, 優惠價:87 303
無庫存,下單後進貨(採購期約45個工作天)
中國經濟週期波動的監測和預警方法及其應用(簡體書)
滿額折

18.中國經濟週期波動的監測和預警方法及其應用(簡體書)

作者:王金明  出版社:科學出版社  出版日:2020/12/02 裝訂:平裝
本書是筆者在經濟週期波動領域多年研究取得的成果基礎上,結合我國經濟結構轉變的時代背景進行的系統性研究。首先,本書介紹經濟週期波動理論和監測方法的發展歷程、合成指數傳統的和當代的計算方法等,並基於選擇的景氣指標,從增長率週期和增長週期等不同角度計算合成指數,識別經濟週期轉折點和監測經濟運行;其次,本書利用多種反映結構變化的非線性方法對經濟波動進行分析和預測;最後,本書基於合成指數對我國經濟週期波動的
定價:690 元, 優惠價:87 600
無庫存,下單後進貨(採購期約45個工作天)
EDA技術與VHDL設計(第3版)(簡體書)
滿額折

19.EDA技術與VHDL設計(第3版)(簡體書)

作者:王金明  出版社:電子工業出版社  出版日:2022/10/01 裝訂:平裝
本書根據電子信息類課程教學和實驗要求,以提高學生的實踐動手能力和工程設計能力為目的,對EDA技術和VHDL設計的相關知識進行系統和完整的介紹。全書以Quartus Prime、ModelSim軟件為工具,以VHDL-1993和VHDL-2008語言標準為依據,以可綜合的設計為重點,通過諸多精選設計案例,由淺入深地介紹VHDL工程開發的知識與技能。全書按"器件-軟件-語言-案例”為主線展開,內容緊貼教學和科研實際,舉例恰當豐富,富有啟發性,既包含關於EDA技術、FPGA/CPLD器件和VHDL硬件描述語言的系統介紹,又有豐富的設計應用實例。設計案例經過優選,具有典型性和趣味性,並全部基於口袋實驗板進行了驗證。本書配有教學課件、課程教學計劃等。
定價:419 元, 優惠價:87 365
無庫存,下單後進貨(採購期約45個工作天)
EDA技術與Verilog設計(簡體書)
滿額折

20.EDA技術與Verilog設計(簡體書)

作者:王金明; 冷自強  出版社:科學出版社  出版日:2008/08/01 裝訂:平裝
本書系統介紹EDA與FPGA設計技術,主要內容包括EDA設計流程與典型設計工具、FPGA/CPLD器件、Verilog硬件描述語言等。本書以Quartus Ⅱ、Synplify Pro/Synplify軟件為平臺,以Verilog-1995和Verilog-2001為語言標準,以可綜合的設計為重點,以大量經過驗證的數字設計實例為依據,系統地闡述了EDA設計方法與設計技術,深入討論了設計優化的問題。
定價:240 元, 優惠價:87 209
無庫存,下單後進貨(採購期約45個工作天)
  • 1
  • 2

暢銷榜

客服中心

收藏

會員專區